全新论坛MCU智学网上线,欢迎访问新论坛!稀缺资源、技术干货、参考设计、原厂资料尽在MCU智学网
更新自动建库工具PCB Footprint Expert 2023.13 Pro / Library Expert 破解版

pb375a单片机读写U盘芯片资料

[复制链接]
1859 0

本文包含原理图、PCB、源代码、封装库、中英文PDF等资源

您需要 登录 才可以下载或查看,没有帐号?注册会员

x
PB375A U盘读写方案
性价比超高的U盘读写模块-PB375A

PB375A.jpg PB375A是一个傻瓜化、简单化的U盘读写解决方案。您无需了解繁琐USB HOST底层协议和FAT文件系统,只需要将您的系统mcu与模块通过SPI或者UART通信,操作几个简单命令,便可完成读写创建删除文件等等功能,让您的系统非常简单快速的增加U盘读写功能。该解决方案是目前国内性价比最高的解决方案。可以根据您的需求提供芯片或者模块,为您不断压缩成本,占领市场先机。

基本不需要占用单片机系统的存储空间,最少只需要几个字节的RAM 和几百字节的代码。

价格 :相比51MCU+SL811/CH375方案有着极其强的价格优势

功能:新建、删除、读写数据,打开关闭文件 检测U盘是否存在,满足单片机及嵌入式系统读写操作U盘的要求。


技术特征
● 用于嵌入式系统/单片机读写U 盘、闪盘、闪存盘、USB 移动硬盘、USB 读卡器等。
● 支持符合USB 相关规范基于Bulk-Only 传输协议的各种U 盘/闪存盘/外置硬盘。
● 支持文件系统FAT12 和FAT16 及FAT32
● 文件操作功能:新建、删除、读写数据,打开关闭文件等。
● SPI接口,支持3.3V电平
● 单芯片解决方案,该模块只需要一个主控芯片外加少量的电容电阻便可,相对于51MCU+SL811/CH375的模块,无论模块尺寸还是成本都有着极大的优势。
● 模块尺寸:31mm*36mm
● 该模块可根据要求进行定制
丰富的例程代码帮助您更好的开发
更多详情请查看资料或与我们联系
资料下载: PB375A_DATASHEET.pdf (396.97 KB, 下载次数: 0)

]PB375A DataSheet下载]
用途
· 桌上型仪表及便携式仪表 电子秤

电子医疗仪表 (血压计、血糖计、血脂计、心电机等)

运动器材(跑步机、摇摆机等等之器材)

汽车行车记录器,税控机

电子系统参数设定 ( 温度控制、行程控制等等之设备)

CNC 自动化设备 ( 程序存取设定)

数据采集






PB375A-SDK.rar (1.8 MB, 下载次数: 3)

举报

回复
*滑块验证:
您需要登录后才可以回帖 登录 | 注册会员

本版积分规则

打开支付宝扫一扫,最高立得1212元红包
搜索

图文热点

更多

社区学堂

更多

客服中心

QQ:187196467 服务时间:周一至周日 8:30-20:30

关注我们

关于我们
关于我们
友情链接
联系我们
帮助中心
网友中心
购买须知
支付方式
服务支持
资源下载
售后服务
定制流程
关注我们
官方微博
官方空间
官方微信
快速回复 返回顶部 返回列表